Migrating Spartan-6 Designs to 7 Series & Beyond

This white paper will outline the challenges and mitigation strategies which can be implemented when migrating from a Xilinx Spartan-6 FPGA to a Xilinx 7 series device or beyond.

In this white paper, we are going to understand the differences between the Spartan-6 and 7 series architectures. We will also discuss how we can select the most appropriate migration device from the 7 series range along with how to migrate the tool chain from ISE® to Vivado®. This white paper will also examine how best to migrate a range of designs from pure RTL-based designs to those which contain a significant element of IP and softcore microcontrollers such as MicroBlazeTM within the programmable logic fabric.

Fornitore: Avnet, Inc   |   Dimensione: 4,37 MB   |   Lingua: Inglese